Welcome![Sign In][Sign Up]
Location:
Search - vhdl iic

Search list

[Other resourcei2c_control

Description: 本文件是iic总线控制器的vhdl语言的源代码程序-2005/09 Bus Controller VHDL language source code procedures
Platform: | Size: 7559 | Author: 王立华 | Hits:

[Other resourcei2c_p_altera

Description: IIc总线的源代码(vhdl语言),大家共享一下
Platform: | Size: 1582893 | Author: 侯典华 | Hits:

[Other resourceiic_bus_example

Description: 使用vhdl构建的iic总线,对应与fpga的硬件开发平台
Platform: | Size: 217023 | Author: 惠普 | Hits:

[Other resourcei2c_master_bit_ctrl

Description: 用VHDL硬件语言实现的iic顶层控制程序
Platform: | Size: 3820 | Author: bird | Hits:

[Communication-Mobileiic_vhdl

Description: iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC upcnt4.vhd -- 4-bit up counter i2c_timesim.vhd -- post-route I2C simulation netlist
Platform: | Size: 889991 | Author: benny | Hits:

[Other resourcei2c_cores

Description: IIC总线协议,VHDL语言编写,可以直接使用-IIC bus protocol, VHDL language can be used directly
Platform: | Size: 21087 | Author: 李无志 | Hits:

[VHDL-FPGA-Verilogi2c_master_bit_ctrl

Description: 用VHDL硬件语言实现的iic顶层控制程序-Using VHDL hardware language to achieve the top level of the IIC control procedures
Platform: | Size: 3072 | Author: bird | Hits:

[VHDL-FPGA-VerilogDM642

Description: 和众达DM642EVM上FPGA内的程序!-And public DM642EVM Tatsu procedures on FPGA!
Platform: | Size: 33792 | Author: | Hits:

[VHDL-FPGA-Verilogwritrend

Description: 用VHDL语言写的IIC读写程序,已经编译过,不错-VHDL language used to write the IIC to read and write procedures, has been compiled, it is true
Platform: | Size: 3072 | Author: 小强 | Hits:

[VHDL-FPGA-Verilogf2812I2C_EEPROM

Description: 用VHDL语言实现扩展IIC接口的功能,-VHDL language used to achieve the expansion of IIC interface functions,
Platform: | Size: 2048 | Author: mpfei | Hits:

[Embeded-SCM Developbaudgen_latest.tar

Description: 波特率发生器的VHDL源码。适用于uart、spi、IIC-Baud rate generator VHDL source code. Apply to uart, spi, IIC
Platform: | Size: 427008 | Author: pyu | Hits:

[Software Engineeringwrite

Description: VHDL语言编写的IIC总线通信的写操作的底层程序-VHDL language of the IIC bus communication of the write operation of the underlying process
Platform: | Size: 1024 | Author: 何美枝 | Hits:

[VHDL-FPGA-Verilogexample

Description: 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
Platform: | Size: 6266880 | Author: 地主 | Hits:

[Software EngineeringI2C

Description: 一种IIC的vhdl实现,包含相关sourcecode和协议文档,学习verilog hdl的好资料。-A kind of IIC' s vhdl implementation, the agreement contains the relevant sourcecode and documentation, learning verilog hdl good information.
Platform: | Size: 38912 | Author: cabrave | Hits:

[VHDL-FPGA-Verilogvhdl

Description: IIC源码VHDL文件。包括IIC master端的控制器实现及仿真文件。-IIC of VHDL source。Including IIC master controller implement and testbench.
Platform: | Size: 16384 | Author: daneil | Hits:

[VHDL-FPGA-VerilogIIC-VHDL

Description: iic 总线在设计时要看你所使用的器件的传输或接收时序 只要会一个,其他的都一样 以下是我在一本书上看到的,感觉很不错,你看看就会用了 -as long as the will a the iic bus depends on the devices you use in the design of the transmission or reception of timing, other-like following, I saw in a book, I feel very good, you look at will with a
Platform: | Size: 510976 | Author: jbb | Hits:

[VHDL-FPGA-VerilogIIC-bus-communication

Description: IIC通信的VHDL实现,含有IIC的通信标准,能正常使用-IIC communication bus program
Platform: | Size: 310272 | Author: 胡兴亮 | Hits:

[VHDL-FPGA-Verilogiic

Description: 用vhdl实现iir总线仿真 对于vhdl初学者很有帮助-Iir bus simulation using vhdl
Platform: | Size: 13312 | Author: lauile | Hits:

[VHDL-FPGA-Verilogiic-BUS

Description: I2C/IIC 总线接口驱动,在Altera的FPGA上跑过,VHDL编写-I2C/IIC bus interface driver, running over the FPGA
Platform: | Size: 174080 | Author: lorry | Hits:

[VHDL-FPGA-VerilogI2CHDL

Description: IIc时序逻辑的VHDL源代码,便于时序的调试(VHDL source code of IIc time series logic, easy to debug time series)
Platform: | Size: 11264 | Author: zhanglei123456 | Hits:
« 1 2 3 45 »

CodeBus www.codebus.net